CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sdram fp

搜索资源列表

  1. 我的SDRAM资料收藏

    1下载:
  2. RAM(Random Access Memory)随机存取存储器对于系统性能的影响是每个PC用户都非常清楚的,所以很多朋友趁着现在的内存价格很低纷纷扩容了内存,希望借此来得到更高的性能。不过现在市场是多种内存类型并存的,SDRAM、DDR SDRAM、RDRAM等等,如果你使用的还是非常古老的系统,可能还需要EDO DRAM、FP DRAM(块页)等现在不是很常见的内存-RAM (Random Access Memory) random access memory system performa
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:775018
    • 提供者:周卫成
  1. 完全硬件手册

    0下载:
  2. RAM(Random Access Memory)随机存取存储器对于系统性能的影响是每个PC用户都非常清楚的,所以很多朋友趁着现在的内存价格很低纷纷扩容了内存,希望借此来得到更高的性能。不过现在市场是多种内存类型并存的,SDRAM、DDR SDRAM、RDRAM等等,如果你使用的还是非常古老的系统,可能还需要EDO DRAM、FP DRAM(块页)等现在不是很常见的内存-RAM (Random Access Memory) random access memory system performa
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1052968
    • 提供者:周卫成
  1. S3C44B0X中文技术文档

    0下载:
  2.   介    绍 三星的S3C44B0X 16/32位RISC处理器被设计来为手持设备等提供一个低成本高性能的方案。 S3C44B0X提供以下配置:2.5V ARM7TDMI 内核带有8Kcache ;可选的internal SRAM;LCD Controller(最大支持256色STN,使用LCD专用DMA);2-ch UART with handshake(IrDA1.0, 16-byte FIFO) / 1-ch SIO; 2-ch gener
  3. 所属分类:其它文档

    • 发布日期:2009-01-13
    • 文件大小:78690
    • 提供者:ssunshine
  1. (fpga)sdram.rar

    0下载:
  2. verilog 代码,读写SDRAM 不带仿真,需要自己编写测试文件,Verilog code, read and write SDRAM simulation without the need to prepare their own test documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19935130
    • 提供者:ch
  1. FPGA_SDRAM_PCI

    0下载:
  2. 一个基于FPGA的PCI数据采集程序,包括SDRAM控制,PCI9054时序控制,开发语言verilog,开发环境quartus-FPGA-based PCI data acquisition procedures, including SDRAM control, PCI9054 timing control, the development of language verilog, development environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2798324
    • 提供者:李国扬
  1. cameralink

    0下载:
  2. 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13232
    • 提供者:lilei
搜珍网 www.dssz.com